TY -的A2 Vanderbauwhede Wim盟——Giorgi罗伯托盟,哈利利的Farnam盟——Procaccini马可PY - 2019 DA - 2019/11/03 TI -将时间转换成一个架构:COTSon的协同和HLS (HLS)域Expertise-Designing计算机体系结构通过SP - 2624938六世- 2019 AB -系统需求翻译成低级表示(如寄存器传输级或RTL)是典型的目标fpga系统的设计。然而,设计空间探索(Design Space Exploration, DSE)需要确定最终的体系结构,这可能很耗时,即使在使用高级合成(HLS)工具时也是如此。在本文中,我们演示了我们的混合方法,该方法使用HLS的前端,通过使用更高级别的抽象,使DSE执行得更快,但不会失去准确性,这要感谢HP-Labs COTSon模拟基础设施与我们的DSE工具(MYDSE tools)的结合。特别地,这种被提出的方法被证明是有用的,它可以在更短的时间内实现对整个系统的适当设计,而不是试图直接在HLS中设计所有东西。激励我们的问题是部署一个名为数据流线程(data-flow threads)的新执行模型,运行在尚未设计的硬件上。为了达到这个目标,在设计周期中直接使用HLS还为时过早。因此,我们的方法的关键在于在我们的仿真框架中定义第一个原型,并在模拟器中验证了我们的新系统的关键性能指标后,逐步将设计迁移到Xilinx HLS中。为了解释这个工作流,我们首先使用一个简单的驱动示例,其中包括双向关联缓存的建模。然后,我们解释了我们如何推广该方法,并描述了我们能够在AXIOM项目中分析的结果类型,这有助于我们将开发时间从月/周缩短到日/小时。SN - 1687-7195 UR - https://doi.org/10.1155/2019/2624938 DO - 10.1155/2019/2624938 JF -国际可重构计算杂志PB - Hindawi KW - ER -